SystemVerilog mode

Syntax highlighting and indentation for the Verilog and SystemVerilog languages (IEEE 1800).

Configuration options:

MIME types defined: text/x-verilog and text/x-systemverilog.